ChipDocs - Datasheet Source for Semiconductor and Electronic Circuit Components
More than
480 527 
registered clients
Partname:CDCV857ADGGRG4
Description:2.5V SSTL-II Phase Lock Loop Clock Driver for Double Data-Rate Synchronous DRAM Applications
Manufacturer:Texas Instruments
Datasheet:PDF (224K).
Click here to download *)

The CDCV857A is a high-performance, low-skew, low-jitter zero delay buffer that distributes a differential clock input pair (CLK, CLK) to ten differential pairs of clock outputs (Y[0:9], Y[0:9]) and one differential pair of feedback clock output (FBOUT, FBOUT). The clock outputs are controlled by the clock inputs (CLK, CLK), the feedback clocks (FBIN, FBIN), and the analog power input (AVDD). When PWRDWN is high, the outputs switch in phase and frequency with CLK. When PWRDWN is low, all outputs are disabled to high impedance state (3-state), and the PLL is shut down (low power mode). The device also enters this low power mode when the input frequency falls below a suggested detection frequency that is below 20 MHz (typical 10 MHz). An input frequency detection circuit will detect the low frequency condition and after applying a >20 MHz input signal this detection circuit turns on the PLL again and enables the outputs. When AVDD is strapped low, the PLL is turned off and bypassed for test purposes. The CDCV857A is also able to track spread spectrum clocking for reduced EMI. Since the CDCV857A is based on PLL circuitry, it requires a stabilization time to achieve phase-lock of the PLL. This stabilization time is required following power up. The CDCV857A is characterized for operation from 0C to 85C.

Click here to download CDCV857ADGGRG4 Datasheet
Click here to download CDCV857ADGGRG4 Datasheet
*)
*)Datasheets downloading from ChipDocs is only for our members (paid service). REGISTER NOW for your membership.
Free Electronics Engineering Subscription
Win Win Circuit - PCB,PCBA,Touch Screen,LED Lighting
Win Win Circuit LTD. PCB, PCBA, LCD Module
www.wwteq.com
COPYRIGHT 1997-2024 ChipDocs  ALL RIGHT RESERVED